EDA综合设计与实践课程设计用VerilogHDL设计电子钟.pdfVIP

EDA综合设计与实践课程设计用VerilogHDL设计电子钟.pdf

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

EDA综合设计与实

践课程设计用

VerilogHDL设计电

子钟

1

2020年4月19日

文档仅供参考

广东工业大学实验报告

信息工程学院通信工程专业04班成绩评定_______

学号姓名张凤珠教师签名_______

预习情况操作情况考勤情况数据处理情况

实验题目用VerilogHDL设计电子钟第17周至第17周

一、课程设计目的和要求

目的:

1.学会使用quantusⅡ软件(编译、仿真等),并利用它进行设计一些简单

的数字电路;

2.利用实验室提供的GW48SOPC系统主板,结合quantusⅡ软件实现电子钟

的功能显示。

要求:

电子钟应实现如下功能:

1.时钟显示功能:,该电子钟正常显示小时、分钟、秒,各用2位数码管

(共6位数码管)显示范围为0—23时59分59秒,分辨率为1秒,包括启

动与停止。

2.校时功能:包括小时校准和分钟校准。

3.跑表:包括跑表清零、启动计时、停止及继续计时功能。

二、实验器件

实验室提供的GW48SOPC系统主板实验箱

三、设计方案和源程序代码

首先分析电子钟要实现的三个功能,然后确定它的基本结构,因为设计

时电子钟的三个基本功能都要用到数码管显示,考虑到三者为了避免竞争数

2

2020年4月19日

文档仅供参考

码管资源的问题,因此设计时电子钟有3个主要输入按键K1、K2、K3,分

别为时间显示、校时功能、跑表的启动,而且是当任一个按键按下,其余两

个键都无效,即此时只有按下的键才有效,执行该键所控制功能的启动。

其次,各个功能模块的设计。A、对于时间显示模块中,涉及到的是时

分秒各个计数器的设计,“秒计数器”采用60进制计数器,每累计60秒,发

出一个“分脉冲”信号,该信号将被送到“分计数器”。“分计数器”采用60进制

计数器,每累计60分,发出一个“分脉冲”信号,该信号被送到“时计数

器”。“时计数器”采用24进制计数器,可实现24小时的累计计数。B、

对于校时模块,同样用到了上述的时分计数器,不过只是能实现校时分钟和

小时功能,分别用K4、K5键控制,做法是每按下一次键,对应的计数器加

一。C、最后是跑表模块,这相对于前面两个模块较为复杂,它有计时复

位、启动和计时停止三个功能,分别用K6、K8、K7按键控制,这里用到了

毫秒、秒、分钟计数器,其中“毫秒计数器”采用100进制计数器,每累计

100毫秒产生一个“秒脉冲”信号,该信号将作为“秒计数器”的时钟脉冲,其

余同A所述。百分秒、秒和分钟信号用七段LED显示。而复位信号是高电平

有效,能够对整个跑表同步清0;当启动/停止为高电平时跑表开始计时,为

低电平时停止计时,变高后在原来的数值基础上再计数。

最后,就是整体的综合。包括每个模块用到的时钟设置、按键显示模块

和确定输入输出参数;本次课程设计采用了一个输入主时钟源4096HZ,其

余各个模块用到的时钟信号从此时钟源分频得到,因此专门设置了一个分频

小模块。

源程序代码如下:

module

文档评论(0)

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档