基于FPGA温度传感器DS18B20的Verilog设计.pdf

基于FPGA温度传感器DS18B20的Verilog设计.pdf

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

基于FPGA温度传感器DS18B20的Verilog设计--第1页

文档精品

基于FPGA温度传感器DS18B20的Verilog设计

赖青松

(江西师范大学南昌电子信息工程)

摘要:本文利用数字温度传感器DS18B20的数据接口和特点,阐述了一种基于现场可编

程门阵列(FPGA)控制DS18B20的方法。使用FPGA作为控制器,严格控制DS18B20的时

序,在单总线上实现读写功能,完成测量数字温度的功能。将测量的二进制数转换为BCD

码,并通过数码管显示。系统设计使用Verilog语言。

由于DS18B20是采用一根I/O总线读写数据,因此DS18B20对读写数据位有严格的

时序要求。DS18B20遵循相应的通信协议从而保证数据传输的正确性和完整性。该通信协

议定义了多种信号时序:初始化时序、写时序、读时序

1、初始化时序:

Duringtheinitializationsequencethebusmastertransmits(TX)theresetpulsebypullingthe

1-Wirebuslowforaminimumof480us.Thebusmasterthenreleasesthebusandgoesinto

receivemode(RX).Whenthebusisreleased,the5kpullupresistorpullsthe1-Wirebus

high.WhentheDS18B20detectsthisrisingedge,itwaits15usto60usandthentransmitsa

presencepulsebypullingthe1-Wirebuslowfor60usto240us.

初始化时序中,控制器发送一个480us-960us的低电平的复位信号,然后释放总线,也就是

总线为高电平,此时,控制器准备接收DS18B20的反应信号,当总线释放后,如果存在

DS18B20,那么DS18B20将在15-60us内发送一个持续60-240us的反应信号。

2、写时序:(因为本设计总线上只挂了一个DS18B20,所以不用读取64位序列号,直接发

送忽略rom命令skinrom)

欢迎下载

基于FPGA温度传感器DS18B20的Verilog设计--第1页

基于FPGA温度传感器DS18B20的Verilog设计--第2页

文档精品

Therearetwotypesofwritetimeslots:“Write1”timeslotsand“Write0”timeslots.Thebus

masterusesaWrite1timeslottowritealogic1totheDS18B20andaWrite0timeslottowritea

logic0totheDS18B20.Allwritetimeslotsmustbeaminimumof60usindurationwitha

minimumofa1usrecoverytimebetweenindiv

文档评论(0)

182****3273 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档