数字时钟设计532.pdfVIP

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

数字时钟设计

一.设计任务和指标

设计一个采用中小规模集成电路构成的具有“时”“分”“秒”(23小时59

分59秒)显示且具有校时功能的电子钟。

具体指标如下:

1.准确计时,以数字形式显示时、分、秒;

2.能够显示“时”(00~23);“分”(00~59);“秒”(00~59);

3.具有校时、分、秒的功能;

4.扩展功能:

①具有整点报时功能

②具有定时功能(闹铃功能)

二.设计要求

1.根据指标要求设计出原理电路图,分析工作原理,计算电路参数,选择

电路元件。

2.列出元、器件明细表。

3.进行设计电路的仿真调试。

4.实验室安装调试所设计的电路,使之达到设计要求。

5.记录试验结果。

6.撰写设计报告、调试总结报告,总结设计收获。

三.设计原理和参考电路

1.系统原理框图

2.秒脉冲信号发生器

秒脉冲信号发生器是时钟的核心部分,它的精度和稳定度决定数字钟的质

量。秒脉冲信号发生器可由振荡电路和分频器构成。其参考电路如图所示。

3.“时”、“分”、“秒”计数器

秒、分计数器都是模M=60的计数器,可用中规模集成电路来实现。如图所

示,采用CC4518构成。

时计数器为模M=24的计数器,采用CC4518构成,如图所示。

4.译码显示电路

译码器可用BCD输入的4线-7段锁存译码器/驱动器CC4511,其输入端A、

B、C、D分别接计数器输出端Q、Q、Q、Q,输出端a~g分别数码显示器的

0123

七段a~g。数码显示器选用七段共阴极半导体显示器SM4205。例如,是显示器

如图所示。

5.校准电路

当数字钟出现误差时,就需校准时间,常用有快速校准法。如图所示。

开关S、S、S分别为时、分、秒的校时控制开关,校准信号的输出则是时、

123

分、秒计数器对应的计数脉冲。

不进行校准时,S、S、S均处于断开状态,计数器正常计数工作。需要对

123

秒进行校准时,闭合S,则秒脉冲无法进入到秒计数器中,直到秒计数器显示

3

为正确的时间,再断开S。需要对分进行校准时,则闭合S,分脉冲无法进入

32

到分计数器中,秒脉冲进入到分计数器中,则分计数器快速计数,直到分计数器

显示的时间为正确时间,再断开S。对时进行校准的方法和对分的校准相同。

2

除了快速校准法,还可采用如下图方法实现。

开关S闭合时,S=0,电路进入校准状态,手按键利用单次脉冲输入,即可

进入校时操作,S拨到“1”时为计数状态。

6.整点报时电路

仿广播电台整点报时电路的功能要求是:

每当数字钟计时快到整点时发出声响,通常按照四低音-一高音的顺序发出

间断声响,以最后一高音结束时的时刻为正点时刻。具体设计的电路要求在离整

点差10秒时,每隔1秒鸣叫一次,每次持续时间为1秒,共响5次,前四次为

低音500Hz,最后一次为高音1000Hz。

设4声低音分别发生在59分51秒、53秒、55秒和57秒,最后一声高音发

生在59分59秒,它们的持续时间均为1秒,如下表所示。

秒QQQQ功能

3210

500000

510001

您可能关注的文档

文档评论(0)

178****7660 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档