S工作汇报12.2---副本.ppt

  1. 1、本文档共29页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

目录;模块的结构:端口定义、I/O说明、内部信号声明和功能定义。

编写一些简单的逻辑模块以及他们的testbench,其中包括功能级描述跟结构级的描述。;

moduleflop(data,clock,clear,q,qb);

inputdata,clock,clear;

outputq,qb;

nand#10nd1(a,data,clock,clear),

nd2(b,ndata,clock),

nd4(d,c,b,clear),

nd5(e,c,nclock),

nd6(f,d,nclock),

nd8(qb,q,f,clear);

nand#9nd3(c,a,d),

nd7(q,e,qb);

not#10iv1(ndata,data),

iv2(nclock,clock);

endmodule

;;modulehardreg(d,clk,clrb,q);

inputclk,clrb;

input[3:0]d;

output[3:0]q;

reg[3:0]q;

always@(posedgeclkorposedgeclrb)

begin

if(clrb)q=0;

elseq=d;

end

endmodule;EDA与DSPBuilder;什么是DSPBuilder;DSPBuilder及其设计流程;;同时又通过SignalCompiler可以把Matlab/Simulink的设计文件(.mdl)转成相应的硬件描述语言VHDL设计文件(.vhd),以及用于控制综合与编译的TCL脚本。而对后者的处理可以由FPGA/CPLD开发工具QuartusII来完成。

由于在FPGA上设计一个DSP模块的复杂性,设计的性能(包括面积、速度、可靠性、设计周期)对于不同的应用目标将有不同的要求,涉及的软件工具也不仅仅是Simulink和QuartusII,DSPBuilder针对不同情况提供了两套设计流程,即自动流程和手动流程。下图是利用DSPBuilder进行DSP设计的流程框图。;如上图所示,DSPBuilder设计流程的第一步是在Matlab/Simulink中进行设计输入,即在Matlab的Simulink环境中建立一个mdl模型文件,用图形方式调用AlteraDSPBuilder和其它Simulink库中的图形模块(Block),构成系统级或算法级设计框图(或称Simulink设计模型)。

第二步是利用Simulink强大的图形化仿真、分析功能,分析此设计模型的正确性,完成模型仿真。

在这两步中,与一般的MatlabSimulink建模过程几乎没有什么区别,所不同的是设计模型库采用AlteraDSPBuilder的Simulink库,也不涉及到其它EDA软件,没有自动流程和手动流程的区别。;

第三步是DSPBuilder设计实现的关键一步,通过SignalCompiler把Simulink的模型文件(后缀为.mdl)转化成通用的硬件???述语言VHDL文件(后缀为.vhd)。由于EDA工具软件(诸如QuartusII、ModelSim)不能直接处理Matlab的.mdl文件,这就需要一个转换过程。转换获得的HDL文件是基于RTL级(寄存器传输级)的VHDL描述。

;如果用DSPBuilder产生的DSP模型只是庞大设计中的一个子模块,则可以在设计中调用DSPBuilder产生的VHDL文件,以构成完整的设计。同时,一样可以使用QuartusII强大的LogicLock功能和SignalTap测试技术。

在DSPBuilder设计流程的最后一步,可以在DSPBuilder中直接下载到FPGA用户开发板上,或者通过QuartusII完成硬件的下载、测试。;

在流程中,其中有个流程在DSP设计中是不可或缺的,那就是HDL仿真。与DSPBuilder可以配合使用的HDL仿真器是ModelSim。DSPBuilder在生成VHDL代码时,可以同时生成用于测试D

文档评论(0)

优美的文学 + 关注
实名认证
内容提供者

优美的文学优美的文学优美的文学优美的文学优美的文学

1亿VIP精品文档

相关文档