本科生《数字电路与EDA实验》教学大纲.pdfVIP

本科生《数字电路与EDA实验》教学大纲.pdf

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

本科生:《数字电路与EDA实验》教学大纲

课程编号:IB7003

课程名称:数字电路与EDA实验英文名称:

DigitalCircuitandEDAExperiment

学分/学时:2/32课程性质:电子工程学院必修课、校公共选修课

适用专业:所有电气信息类专业建议开设学期:上、下学期

先修课程:《数字电子技术基础》开课单位:电工电子教学基地,电子工程学院

一、课程的教学目标与任务

开设此课程的目的是向学生介绍使用EDA技术和工具开发高密度可编程逻辑器件及设计数字

系统硬件电路的基本方法,希望通过该课程的学习,为今后的学习和工作打下坚实的基础,促进数

字系统设计水平的提高。

二、课程具体内容及基本要求

(一)现代数字系统设计方法与传统设计方法的比较及其演变过程(1学时)

1.基本要求

结合《数字逻辑与系统设计》课程,比较现代数字系统设计方法与传统设计方法的优缺点,并

对比两种设计方法之间的联系。

2.重点、难点

重点:由传统的数字系统设计方法过渡到现代数字系统设计方法。

难点:理解现代数字系统设计方法的基本思想。

3.作业及课外学习要求

复习《数字逻辑与系统设计》课程中传统数字系统设计方法。搜集相关资料,学习现代数字系

统设计方法所需要的工具及编程语言。

(二)高密度在系统可编程逻辑器件的分类、内部结构(1学时)

1.基本要求

了解现代数字系统设计方法与过程,掌握新型可编程逻辑器件(CPLD、FPGA)的基本结构及

主要功能模块。

2.重点、难点

重点:新型CPLD、FPGA内部结构、功能模块。

难点:功能模块的应用。

3.作业及课外学习要求

认真分析各种可编程逻辑器件的内部结构,了解可编程器件实现数字系统的基本原理。

(三)Altera公司EDA开发工具QuartusII的使用、输入方法总体介绍(2学时)

1.基本要求

熟练掌握现代数字系统设计EDA软件的使用,掌握EDA软件的基本工作流程。

2.重点、难点

重点:EDA软件的使用方法。

难点:理解EDA软件的工作流程。

3.作业及课外学习要求

安装Altera公司EDA软件QuartusII,按照“Help”中的流程熟悉软件工作流程。

(四)QuartusII原理图输入法以及HDL输入法(2学时)

1.基本要求

掌握QuartusII软件的基本输入方法,包括原理图输入和硬件描述语言输入。熟悉采用EDA工

具进行数字系统设计的设计流程。

2.重点、难点

重点:QuartusIIEDA软件的基本输入方法。

难点:采用EDA工具进行系统设计的操作流程。

3.作业及课外学习要求

将《数字逻辑与系统设计》教材中的逻辑电路输入到QuartusII的原理图编辑器,熟练掌握EDA

软件的原理图输入方法。搜集相关资料,熟悉数字系统的硬件描述语言设计方法。

(五)HDL设计方法及项目的编译、综合、适配(4学时)

1.基本要求

掌握QuartusII软件的编译、综合、适配方法,了解各编译模块的使用目的。

2.重点、难点

重点:理解QuartusII编译过程。

难点:编译过程的控制及目的。

3.作业及课外学习要求

进一步学习HDL设计方法,理解HDL的编程思想及执行过程,熟练掌握EDA软件对设计项

目的完全编译过程及目的。

(六)HDL设计方法及设计项目的仿真、定时分析(2学时)

1.基本要求

掌握HDL设计方法,学习EDA软件对设计项目的功能仿真及时序分析过程,并能够根据仿真

结果分析功能是否满足设计要求,时序是否满足延时要求。

2.重点、难点

重点:掌握设计项目的功能仿真及时序分析过程。

难点:看懂仿真波形及定时分析结果。

3.作业及课外学习要求

练习设计项目的HDL设计方法,并通过EDA

您可能关注的文档

文档评论(0)

176****8759 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档