基于MAX+plusII的LED显示屏设计-软件编程.docx

基于MAX+plusII的LED显示屏设计-软件编程.docx

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

?

?

基于MAX+plusII的LED显示屏设计

软件编程

?

?

论文导读::LED显示屏已经被广泛的用在地铁、商场、车站、超市等公共场所,给人们的生活带来了很多方便。本文基于MAX+plusII的基础上设计了LED显示屏,通过软件编程实现数据的控制,仿真结果显示,该设计系统成本低、工作可靠,有一定的应用价值。

论文关键词:显示屏,LED,软件编程

?

1、应用背景

LED显示屏在生活中的应用非常普遍软件编程,医院、车站等等,很多的公共场合里都是用LED显示软件编程,给人们的生活带来了很多的方便。LED有很大的应用能力和潜力,研究如何更好的使用它有很重要的意义。

2、设计思想[1]

本设计使用使用超高亮度LED软件编程,制作成显示屏,通过软件编程的方法来实现行扫描和列的数据传输论文开题报告范文。从组成上来说可分为三个部分来分别实现。分别是:显示部分、控制部分和接口部分。如图1所示。显示部分由超高亮度LED制作。控制部分有两部分组成软件编程,分别是扫描控制和数据控制。此部分由CPLD芯片来完成论文开题报告范文。用行扫描和列输出来实现,每次扫描一行。

图1系统结构图

3、部分程序清单[2][3]

扫描部分:

libraryIEEE;

useIEEE.std_logic_1164.all;

useIEEE.std_logic_arith.all;

useIEEE.std_logic_unsigned.all;

注:宝鸡文理学院重点项目ZK0936

entityscanis

port(clk:instd_logic;

data_o:outstd_logic_vector(7downto0);

scanline:outstd_logic_vector(7downto0)

);

……

行扫描部分,每次只扫描一行:

……

process(int)

begin

caseintis

when0=scanline=

when1=scanline=

when2=scanline=

when3=scanline=

when4=scanline=

when5=scanline=

when6=scanline=

when7=scanline=

whenothers=scanline

endcase;

endprocess;

……

4、仿真结果[4]

利用MAX+plusⅡ仿真结果如图2所示。

图2仿真结果

5、结论

和其他设计系统相比,该系统设计简单,性能稳定。有一定的应用价值。

参考文献:

[1]赵淑晶,周延颖,杨斌.基于CPLD的双屏LCD时序控制器设计.微计算机信息[J],2008年24卷12-2期:191-195.

[2]李丰林.VHDL语言在PLD编程中的应用.淮海工学院学报[J],2002年11卷1期:23-26.

[3]王科鸣,许乐平.VHDL语言在数字系统设计中的应用.固体电子学研究与进展[J],1995年15卷2期157-163.

[4]赵明富,田泽正,王超等.CPLD在LED广告屏中的应用研究.河南科技学院学报(自然科学版)[J],2008年36卷4期:65-70.

?

?

?

-全文完-

文档评论(0)

134****8507 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档