基于FPGA的图像对比度增强设计.docx

  1. 1、本文档共40页,其中可免费阅读12页,需付费200金币后方可阅读剩余内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 4、文档侵权举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

基于FPGA的图像对比度增强设计摘要

图像对比度增强是图像处理领域的一个研究热点,在医疗电子与消费电子等领域有着巨大的应用前景,如何在取得较好图像增强的前提下实现低时间复杂度是该方向的核心问题之一。

本文深入系统地开展了图像增强方面的研究,揭示了基于直方图均衡技术用于图像增强的原理与规律,并在

Matlab算法验证阶段取得了比较好的效果。本文也发展了传统的基于软件实现的直方图均衡技术,通过采用FPGA作为图像采集控制与图像处理芯片,通过克服以往算法转逻辑比较困难的问题以及高速高带宽信号难以实时处理的问题揭示了FPGA在实时图像处理算法方面的可行性。本文提出了乒乓操作与两个双口RAM

文档评论(0)

一江春水向东流 + 关注
实名认证
内容提供者

#############################

1亿VIP精品文档

相关文档