EDA技术与实验考题附答案.pdfVIP

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

EDA技术与实验考题附答案--第1页

word格式-可编辑-感谢下载支持

填空题

1.VHDL与1985年正式推出,是目前标准化成都最高的硬件描述语言.

2.IEEE于1987年将VHDL采纳为ieee#1076标准.

3.用VHDL书写的源文件,既是程序又是文档,既是工程技术人员之间交换信息

的文件,又可作为合同签约者之间的文件.

4.一般将一个完整VHDL程序称为设计实体.

5.用VHDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功

能块独立存在和独立运行.

6.VHDL设计实体的基本结构由库、程序包、实体、结构体、

配置、等部分构成.

7.实体和结构体是设计实体的基本组成,它们可以构成最基本的VHDL程序.

8.IEEE于1987年公布了VHDL的ieee#1076-1987语法标准.

9.IEEE于1993年公布了VHDL的ieee#1076-1993语法标准.

10.根据VHDL语法规则,在VHDL程序中使用的文字、数据对象、数据类型都需要预先定义.

11.在VHDL中最常用的库是ieee.标准库,最常用的程序包是std_logic_1146程

序包.

12.VHDL的实体由实体声明部分和结构体组成.

13.VHDL的实体声明部分指定了设计单元的输入、输出端口或引脚,它是设计实

体对外的一个通信界面,是外界可以看到的部分.

14.VHDL结构体用来描述设计实体的逻辑结构和逻辑功能,它由VHDL语句构成,

是外界看不见的部分.

15.在VHDL的端口声明语句中,端口方向包括in、out、inout和

Buffer(具有读功能的输出).

16.VHDL的数字型文字包括整数文字、实数文字、以数字基数表示的文

字、物理量文字.

1.17.VHDL的字符是以单引号括起来的数字、字母、符号.

18.VHDL的标识符名必须以字母开头,后跟若干字母、数字或单个下划线构成,但最后不能

为下划线.

19.VHDL的数据对象包括变量、常量、信号,它们是用来存放各种类型

数据的容器.

20.VHDL的变量(VARIABLE)是一个局部量,只能在进程、函数和过程中声明和使用.

21.VHDL的信号(SIGNAL)是一种数值容器,不仅可以容纳当前值,也可以保持历史

值.

22.常数(CONSTANT)是程序中的一个恒定不变的值,一般在程序前段声明.

23.VHDL的数据类型包括标量型、复合型、存取类型、文件型.

24.VHDL的标量型(ScalarType)是单元素的最基本数据类型,包括整数型、实数型、

枚举型、时间型.

25.在VHDL中,

文档评论(0)

150****3559 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档