数字逻辑课程设计报告.pdfVIP

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

数字逻辑课程设计报告

多功能数字钟的设计与实现

学院:

班级:

姓名:

学号:

时间:

一设计目的

(1)学会应用数字系统设计方法进行电路设计;

(2)进一步提高QUARTUSII软件的开发应用能力;

(3)培养学生书写综合实验报告的能力。

二设计任务及要求

(1)拥有正常的时、分、秒计时功能。

(2)能利用实验板上的按键实现校时、校分及秒清零功能。

(3)能利用实验板上的扬声器做整点报时。

(4)闹钟功能。

(5)在QUARTUSⅡ中采用层次化设计方法进行设计。

(6)完成全部电路设计后在实验板上下载,验证设计课题的正确性。

三设计思路

1总体设计框图与顶层图

2.1计时模块

2.1.1设计方法

该模块的设计相对简单,使用一个二十四进制和两个六十进制计数器级联,构成数字钟的基本

框架。二十四进制用于计时,六十进制用于计分和计秒。计时间过程:

计秒:1Hz计数脉冲,0~59循环计数,计数至59时产生进位信号。

计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位。

计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。

2.1.2仿真波形

1)

60进制计数器:4位数组qh表示十位,从0(0000)到5(0101)循环;ql表示个位,从0(0000)

到9(1001)循环。

2)

24进制计数器:4位数组qh表示十位,从0(0000)到2(0010)循环;ql表示个位,从0(0000)

到9(1001)循环;进位tc始终为0。

2.1.3程序说明

--24进制计数器--60进制计数器

entitycnt24isentitycnt60is

port(clk:instd_logic;port(clk,clr:instd_logic;

ql,qh:outstd_logic_vector(3downto0);ql,qh:bufferstd_logic_vector(3downto0);

tc:outstd_logic);tc:outstd_logic);

endcnt24;endcnt60;

architectureoneofcnt24isarchitectureoneofcnt60is

beginbeginbegin

process(clk)

Variableiql,iqh:std_logic_vector(3downto0);else1;

beginprocess(clk,clr,ql,qh)

ifclkeventandclk=1thenvariableiql,iqh:std_logic_vector(3downto0);

iql:=iql+1;BeginBegin

ifthen

iqh:

文档评论(0)

yaning5963 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档