数字信号的发送和接收电路设计.pdfVIP

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

数字信号的发送和接收电路设计--第1页

数字信号的发送和接收电路设计

院系:电子信息与电气工程系

学生姓名:

学号:200902070007

专业班级:09电子信息工程(专升本)

2010年12月08日

数字信号的发送和接收电路设计--第1页

数字信号的发送和接收电路设计--第2页

1.设计背景和设计方案

多优势,他增加了通信的必威体育官网网址性,提高了抗干扰能力,但其技术复杂,数字信号在传输

过程中会混入杂音,可以利用电子电路构成的门限电压,去衡量输入的信号电压,只有

达到某一电压幅度,电路才会有输出值,并自动生成一整齐的脉冲。在简要介绍同步数

字复接基本原理的基础上,采用语言对同步数字复接各组成模块进行了设计,并

在ISE集成环境下进行了设计描述、综合、布局布线及时序仿真。

1.1系统简介

课题采用altera公司的Quartus6.0设计平台,以超高速集成电路硬件描述语言

vhdl为系统逻辑描述的唯一表达方式,采用自顶向下的设计原则,对mcs-51单片机的

串行接口进行反相设计。在设计时进行模块划分,可划分为4个子模块,它们分别是:

(1)串口时钟发生器模块;

(2)数据检测器模块;

(3)发送器模块;

(4)接收器模块。

1.2系统框图

该系统框图如图1所示。

图1系统框图

数字信号的发送和接收电路设计--第2页

数字信号的发送和接收电路设计--第3页

把数据代码并行输出。串行偶校检测器课通过异或实现数据传送的格式采用异步串行通

信的格式,包含起始位,数据位,检验位,停止位和空闲位。此实验可选用两个实验箱

完成,一个发送数据,一个接收数据。注意,两试验箱的时钟必须选得一样,两实验箱

要共地。

设计思路

设计一个5位数字信号的发送和接收电路,把并行码变为串行码或把串行码变为并

行码,串行偶校验检测器可通过异或实现。在数据接收端,只有在代码传送无误后,才

把数据代码并行输出。数据传送的格式采用异步串行通信的格式,包含起始位、数据位、

校验位、停止位和空闲位。

2.2程序

数据发送模块:将并行数据加上起始位、偶校验位和停止位,以串行方式发送出去。

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitysendis

port(start:instd_logic;

a:instd_logic_vector(4downto0);

clk:instd_logic;

b:outstd_logic);

endsend;

architecturert1ofsendis

begin

process(clk,a)

variabletemp:std_logic_vector(6downto0);

variabletmp,m:std_logic;

variabletmp1:integerrange0to7;

begin

if(clkevenandclk=1)then

if(m=0)then--发送空闲位

tmp:=1;

e

文档评论(0)

152****6402 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档