8位二进制加法计算器.pdf

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

一:本实验设计的是一个8为二进制加法计算器,其功能就是对两个八位的二进制数执行加

法运算,并可以异步清零。

二:电路可划分为三部分:半加器、全加器和复位电路。

1、半加器:

真值表

absoco

0000

0110

1010

1101

电路图

2全加器:由半加器和或门组成

电路图

3复位电路:

复位电路通过en控制,当en为‘1’时,执行加法运算,输出正确的值,当en为‘0’

时,输输出及结果为全0.

三:实验波形仿真和VHDL

1、仿真图:

2、VHDL代码

1)半加器h_adder:

libraryieee;

useieee.std_logic_1164.all;

entityh_adderis

port(a,b:instd_logic;

co,so:outstd_logic);

endentityh_adder;

architecturefh1ofh_adderis

begin

so=not(axor(notb));co=aandb;

endarchitecturefh1;

2)或门or2a:

libraryieee;

useieee.std_logic_1164.all;

entityor2ais

port(a,b:instd_logic;

c:outstd_logic);

endentityor2a;

architectureoneofor2ais

begin

c=aorb;

endarchitectureone;

3)全加器f_adder:

libraryieee;

useieee.std_logic_1164.all;

entityf_adderis

port(ain,bin,cin:instd_logic;

cout,sum:outstd_logic);

endentityf_adder;

architecturefd1off_adderis

componenth_adder

port(a,b:instd_logic;

co,so:outstd_logic);

endcomponent;

componentor2a

port(a,b:instd_logic;

c:outstd_logic);

endcomponent;

signald,e,f:std_logic;

begin

u1:h_adderportmap(a=ain,b=bin,co=d,so=e);

u2:h_adderportmap(a=e,b=cin,co=f,so=sum);

u3:or2aportmap(a=d,b=f,c=cout);

endarchitecturefd1;

4)与门and2a:

libraryieee;

useieee.std_logic_1164.all;

entityand2ais

port(a,b:instd_logic;

c:outstd_logic);

endentityand2a;

architectureoneofand2ais

begin

c=aandb;

endarchitectureone;

5)顶层设计文件

libraryieee;

useieee.std_logic_1164.all;

entityzongis

port(a1,a2,a3,a4,a5,a6,a7,a8,b1,b2,b3,b4,b5,b6,b7,b8,en:instd_logic;

solution1,solution2,solution3,solution4,solution5,solution6,solu

文档评论(0)

***** + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档