南昌航空大学PWM波形发生器实验报告.pdfVIP

南昌航空大学PWM波形发生器实验报告.pdf

  1. 1、本文档共30页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

PWM波信号发生器的研制

课程设计说明书

课程设计名称:单片机专业课设

课程设计题目:PWM信号发生器的研制

学院名称:信息工程学院

专业:电子信息工程班级:

学号:姓名:

评分:教师:

2013年7月2日

摘要

1

PWM波信号发生器的研制

随着电力电子全控开关器件的出现,脉宽调制技术(PWM)在电力电子变流技

术中获得了,。泛的应Hj,如直流开关电源(DC/DC变换)、交。交变频(AC

/AC变换)、交.直.交变频系统、UPS电源(DC/AC变换)、高功率因数整

流(PWM整流或功率l灭l数校正PFC等)等电力电子各个应用领域,无不采用

PWM控制技术。PWM控制最基本的实现方法是通过载波和控制波的模拟电路调制

米产生,如采用三角形载波(或锯齿波)和直流(或正弦波)控制信号比较器进行比

较产生PWM波。这种方法在模拟控制技术中广泛采用,并出现过许多产生PWM波

控制芯片,如用于开关电源的TL494、SG3525、UC3842等。随着数

字控制技术的发展,又出现了许多数字式PWM集成芯片,如用于变频调速的三相P

WM发生器HEF4752、SLE4520、MA818等。但与迅速发展的微机

控制技术相比,该方法显示出电路复杂、调制方式不够灵活等缺点,而采用软件计算

的实时PWM控制策略越来越彼人们接受,并出现了许多不同的PWM波的计算方

法,如采样SPWM法、谐波注入PWM法、均值PWM法、等面积PWM法等。电

力电子的微机PWM控制技术已成为一种必然趋势。因此本文就PWM的单片机控制

与实现进行分析研究,通过对外围电路芯片的设计实现PWM输出波形的频率、电压幅

值、占空比的连续调节,达到产生PWM信号目的。

关键字:脉宽调制技术(PWM)、占空比、控制信号

2

PWM波信号发生器的研制

单片机原理与接口技术课程设计任务书

2012-2013学年第2学期第17周-19周

题目PWN波形发生器

内容及要求

(1)采用定时/计数器8253。

(2)PWM信号的工作频率为500Hz(1000Hz)。

(3)占空比可变且显示占空比。

进度安排

第一周进行课程的理论设计,包括各个模块的原理和电路图。

第二周进行电路的仿真与电路板板的焊接。

第三周进行电路的调试。

学生姓名:

指导时间指导地点:

任务下达2012年6月24日任务完成2012年7月4日

考核方式1.评阅□2.答辩□3.实际操作□4.其它□

指导教师系(部)主任

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。

2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

文档评论(0)

135****4296 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档