波形发生器(VHDL)_原创精品文档.pdfVIP

  1. 1、本文档共23页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

华南理工大学广州学院

数字系统设计(VHDL)课程报告

题目:波形发生器

姓名:

学号:

序号:

学院:

班级:

指导老师:

完成时间:2014-1-1__

题目:波形发生器

一、功能及原理介绍

1、功能介绍

选择模式

00011011

7位计数器7位计数器7位计数器7位计数器

(地址发生器)(地址发生器)(地址发生器)(地址发生器)

正弦波数据三角波数据方波数据锯齿波数据

存储ROM存储ROM存储ROM存储ROM

正弦波输出三角波输出方波输出锯齿波输出

此波形发生器,通过选择“00”、“01”、“10”、“11”,这四种模式来选择相应的

波形输出,除此之外,它还可以产生一些其它的波形,利用MIF文件生成器产生波形的MIF

文件,由此产生各种波形。这个波形发生器可以用作信号发生器,产生一些自己所需要的信

号。

2、原理介绍

正弦信号发生器的结构由3部分组成:数据计数器或地址发生器、数据ROM和D/A。

性能良好的正弦信号发生器的设计要求此3部分具有高速性能,且数据ROM在高速条

件下,占用最少的逻辑资源,设计流程最便捷,波形数据获最方便。顶层文件any_bo.VHD

在FPGA中实现,包含2个部分:ROM的地址信号发生器由7位计数器担任,和正弦数

据ROM,拒此,ROM由LPM_ROM模块构成能达到最优设计,LPM_ROM底层是FPGA中

的EAB或ESB等。地址发生器的时钟CLK的输入频率f0与每周期的波形数据点数(在此

选择128点)。

2.1.MIF文件生成器的使用方法

mif文件就是存储器初始化文件,即memoryinitializationfile,用来配置RAM或ROM

中的数据。而产生MIF文件的在这里有三种方法:(1)利用Quartus自带的mif编辑器、(2)

利用mif软件来生成、(3)用C语言或者matlab语言等来生成,而我就利用MIF文件生成

器MIF_Maker2010来产生MIF文件。

①双击打开MIF_Maker2010,如图

首先我们对所需要的MIF文件对应的波形参数进行设置,如上图,在“查看”,并

于此下拉菜单中选择“全局参数设置”,如选择波形参数:数据长度128,输出数据位宽8,

数据格式十六进制(有的情况下需要选择符号类型),初始相位0度,按“确定”后,将会

出现一波形编辑窗。

②然后选择波形类型。选择“设定波形”,再选择“正弦波”,如下图

③若要选择其它的波形也可以,如果要编辑任意波形,可以选择“手绘波形”项,在

下拉菜单中选择“线条”,如图,表示可以手工绘制线条。

④最后选择“文件”中的“保存”,将此编辑好的波形文件以MIF格式保存即可。

⑤产生的MIF文件像如下图一样,每对应一个地址就有一个采样数据

2.2.利用LPM_ROM的定制和使用

FPGA中的ROM除了作为数据和程序存储单元外,ROM还有其它的用处,如数字信号发

生器的波形数据存储器、查表式计算器的核心工作单元等等。

(1)单击Tools下的MegaWizardPlug-inMannager管理器按钮,进入如图的LPM模块

(2)选择MemoryCo

文档评论(0)

136****6089 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档