EDA报告-交通灯控制器.pdfVIP

  1. 1、本文档共17页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

EDA报告-交通灯控制器--第1页

天津工业大学

EDA课程设计报告

题目:交通灯控制器

班级:电子1301

学号:

姓名:

EDA报告-交通灯控制器--第1页

EDA报告-交通灯控制器--第2页

一、设计目的

1.了解交通灯的变化规律;

2.掌握VHDL编程语言,了解实际设计中的优化方案。

二、开发软件

QuartusII

三、设计任务及分析

1.设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路

口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许

通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2.红、绿、黄发光二极管作信号灯。

3.主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮

绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

4.主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干

道每次放行25秒,设立45秒、25秒计时、显示电路。

5.在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行

驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。

题目分析:根据题目要求可以知道,系统需要在几种不同的状态之间进行

切换,为了设计的方便,并且实现高效率和高可靠的逻辑控制,这里我们采用

状态机的方法进行设计。

四、设计方案

主控电路是一个单进程Moore型有限状态机,通过接收计数器发送的“时

间到”(进位)信号以及根据s(用来指示支干道是否有车来,‘1’表示支干道

没有车来,‘0’支干道有车来)的值进行状态的切换,实现对十字路口主干道、

支干道两个方向的红、黄、绿灯状态的控制。

系统框图如图一所示。

图一系统整体框图

EDA报告-交通灯控制器--第2页

EDA报告-交通灯控制器--第3页

具体控制过程为:当s=‘1’时,支干道没有车来,主干道处于常允许通行

的状态,此时主干道亮绿灯,支干道亮红灯;当s=‘0’时,支干道有车来,即

主、支干道均有车,两者交替允许通行,主干道每次放行45秒,支干道每次放

行25秒,且在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡。

变化规律为:主干道绿灯亮,支干道红灯亮→主干道黄灯亮,支干道红灯亮→

主干道红灯亮,支干道绿灯亮→主干道红灯亮,支干道黄灯亮→主干道绿灯亮,

支干道红灯亮…,这样依次循环。

由于主、支干道有45秒和25秒的放行时间,以及每次由绿灯亮到红灯亮

的转换过程中,要亮5秒黄灯作为过渡,所以设计45秒、25秒和5秒计时电路,

均采用倒计时。

关于显示的问题,译码电路是把对应的二进制码直接转换成数码管显示时

的码字,并且由于实验箱上采用的是8位数码管,所以必须采用数码管的动态

扫描。

五、各模块功能介绍

1.顶层原理图及基本原理

如图1-1所示,系统时钟(48M)经过分频得到1HZ方波,为状态机和计数

器提供时钟,lsdsn[5..0]六位总线分别表示主干道和支干道的绿灯、黄灯、红

灯。ledsn[5]:主干道绿灯,ledsn[4]:主干道黄灯,ledsn[3]:主干道红灯,

ledsn[2]:支干道绿灯,ledsn[1]:支干道黄灯,ledsn[0]:支干道红灯。

文档评论(0)

***** + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档