基于FPGA的数字钟设计-华中科技大学电子线路实验报告.pdfVIP

基于FPGA的数字钟设计-华中科技大学电子线路实验报告.pdf

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

基于FPGA的数字钟设计

YourName

一、实验目的

1.了解数字钟的功能要求及设计方法;

2.了解CPLD/FPGA的一般结构及开发步骤;

3.熟悉用FPGA器件取代传统的中规模集成器件实现数字电路与系统的方法。

二、实验要求

1.以数字形式显示时、分、秒的时间;

2.小时计数器为同步24进制;

3.要求手动校时、校分;

4.任意闹钟;

5.小时为12/24进制可切换;

6.报正点数(几点响几声)。

三、实验内容

1.系统模块框图

2.时间校准模块

第1页

时间校准模块可由两位控制信号控制当前校准状态。

3.时分秒计数模块

时分秒计数模块由60进制秒计数器、60进制分计数器和24进制时计数器组

成。时分秒的计数器具有复位和计数功能。其中CR为复位信号,当CR为0时,

时分秒的计数器清0,EN为使能信号,EN为1时开始计时,EN为0则暂停计时。

分秒时的进位通过外部组合逻辑实现。

4.显示模块

计时模块产生的BCD码通过编写的CD4511功能模块转换为数码管的显示信

号。

5.分频模块

开发板的系统时钟为50MHz,产生1Hz的CP信号需要一个模为25M的计数

器分频得到1Hz的CP信号。

四、具体代码

1.顶层模块(clock.v)

moduleSHOW_CTRL(MODE,SHOW_MODE,CP,Hour,Minute,Second,SHOW1,SHOW2);

inputCP,MODE,SHOW_MODE;

input[7:0]Hour,Minute,Second;

output[7:0]SHOW1,SHOW2;

reg[7:0]SHOW1,SHOW2;

always@(MODE,SHOW_MODE)begin

if((MODE==0)(SHOW_MODE==0))beginSHOW2=Minute[7:0];

SHOW1=Hour[7:0];end

elseif((MODE==0)(SHOW_MODE==1))beginSHOW2=Second[7:0];

SHOW1=Minute[7:0];end

elseif((MODE==1)(SHOW_MODE==0))beginSHOW2=Minute[7:0];

SHOW1=Hour[7:0];end

elseif((MODE==1)(SHOW_MODE==1))beginSHOW2=Second[7:0];

SHOW1=Minute[7:0];end

end

endmodule

2.分频模块(clk_div.v)

第2页

moduleclk_div(clk_50M,clk_1);

inputclk_50M;

outputregclk_1;

reg[8:0]count;

always@(posedgeclk_50M)

begin

if(count8d25)

begin

clk_1=~clk_1;

count=0;

end

else

count=coun

文档评论(0)

166****6064 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档