EDA 二十四进制计数器设计.pdfVIP

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

实训报告

课程名称:

学生姓名:

学号:

专业班级:

年月日

南昌大学实训报告

学生姓名:学号:专业班级:

实训类型:□验证□综合√设计□创新实训日期:实验成绩:

一、实训项目名称

24进制计数器设计

二、实训目的

由中规模可预置二进制加法计数器40161和门电路组成二十四进

制计数器(8421BCD码)

三、实训要求

1.熟悉仿真开发软件QuartusII的使用;

2.根据功能要求,用原理图或文本输入方式完成设计;

3.用QuartusII做波形仿真调试;

4.下载至EDA试验仪调试设计。

四、实训基本原理(附源程序清单,原理图、RTL图)

用两个ls161为主,其中一个为输出结果的低四位,另一个为输

出结果的高4位,低四位从0000到1001(即十进制的九)然后置0000

并且高四位加1,如此到高四位为0010,第四位为0011,这时计数

到23,进位信号输出1,同时8位输出同时置0;

原理图:

源程序:

modulels161(Q,RCO,D,ET,EP,LOAD,CLR,CLK);

output[3:0]Q;

outputRCO;

input[3:0]D;

inputLOAD,ET,EP,CLR,CLK;

reg[3:0]Q;

wireEN;

assignEN=ETEP;

always@(posedgeCLKornegedgeCLR)

begin

if(!CLR)

Q=4b0000;

elseif(!LOAD)

Q=D;

elseif(EN)

begin

if(Q==15)

Q=0;

else

Q=Q+1;

end

end

assignRCO=((Q==4b1111)EN)?1:0;

endmodule

moduleXS7D(DIN,DOUT);

input[3:0]DIN;

output[6:0]DOUT;

reg[6:0]DOUT;

always@(DIN)

begin

case(DIN)

0:DOUT=b0111111;

1:DOUT=b0000110;

2:DOUT=b1011011;

3:DOUT=b1001111;

4:DOUT=b1100110;

5:DOUT=b1101101;

6:DOUT=b1111101;

7:DOUT=b0000111;

8:DOUT=b1111111;

9:DOUT=b1101111;

endcase

end

endmodule

moduleqzm(DOUTH,DOUTL,CO,CLK);

outputDOUTH,DOUTL,CO;

inputCLK;

wire[3:0]QH;

wire[3:0]QL;

wire[3:0]D;

wire[6:0]DOUTH;

wire[6:0]DOUTL;

wireCO,LOADL,LOADH,LOADR,ENR;

wireVCC,GND;

assignD=4b0000,VCC=1,GND=0;

ls161u1

(.Q(QL),.RCO(GND),.D(D),.ET(VCC),.EP(VCC),.LOAD(LOADH),.CL

R(VCC),.CLK(C

文档评论(0)

175****2884 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档